ESTONIAN ACADEMY
PUBLISHERS
eesti teaduste
akadeemia kirjastus
cover
Estonian Journal of Engineering
System-level communication synthesis and dependability improvements for Network-on-Chip based systems; pp. 23–38
PDF | doi: 10.3176/eng.2010.1.05

Authors
Mihkel Tagel, Peeter Ellervee, Gert Jervan
Abstract
Technology scaling into subnanometer range will create process variations that have impact on the overall manufacturing yield and quality. Smaller feature sizes permit to pack more functionality into a single chip. Increasing variability, complexity and communication bandwidth requirements will make the System-on-Chip designer’s goal, to design a fault-free system, a very difficult task. Shift from traditional bus-based systems to networked systems solves several design problems but requires more focus on communication modelling. In this work we propose a system-level approach for communication modelling and synthesis. It makes possible to calculate precise communication delays that can be taken into account during application scheduling to avoid network congestions. We present a possible application of the proposed framework for scheduling fault-tolerant applications on non-reliable network.
References

  1. Navet, N., Song, Y., Simonot-Lion, F. and Wilwert, C. Trends in automotive communication systems. Proc. IEEE, 2005, 93, 1204–1223.
doi:10.1109/JPROC.2005.849725

  2. Pande, P., Ganguly, A., Feero, B. and Grecu, C. Applicability of energy efficient coding methodology to address signal integrity in 3D NoC fabrics. In Proc. 13th IEEE Inter­national On-Line Testing Symposium (IOLTS 2007). Crete, Greece, 2007, 161–166.

  3. Chandramouli, R. Infrastructure IP design for repair in nanometer technologies. IEEE Design & Test Computers, 2005, 22, 17.
doi:10.1109/MDT.2005.13

  4. International Technology Roadmap for Semiconductors, 2007. URL: http://www.itrs.net/Links/ 2007ITRS/2007_Chapters/2007_Design.pdf (29 Sept. 2009).

  5. Pop, P. Analysis and Synthesis of Communication-intensive Heterogeneous Real-time Systems. Ph.D thesis, Linköping University, Sweden, 2003.

  6. Jantsch, A. and Tenhunen, H. Networks on Chip. Kluwer Academic Publishers, Boston, 2003, 9–15.

  7. Guang, L. Design of Frequency Controller for Minimizing Power Consumption in Network-on-Chip. M.A. thesis, Royal Institute of Technology, Sweden, 2005.

  8. Bartels, C., Huisken, J., Goossens, K., Groeneveld, P. and Meerbergen, J. Comparison of an aethereal network on chip and a traditional interconnect for a multi-processor DVB-T system on chip. In Proc. IFIP International Conference on Very Large Scale Integration. Nice, France, 2006, 80–85.

  9. Radulescu, A. and Goossens, K. Communication services for networks on chip. In Proc. Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS). 2002, vol. 2, 275–299.

10. Marculescu, R., Ogras, U. Y. Li-Shiuan Peh, Jerger, N. E. and Hoskote, Y. Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives. IEEE Trans. Computer-Aided Design of Integrated Circuits Systems, 2009, 28, 3–21.
doi:10.1109/TCAD.2008.2010691

11. Lei, T. and Kumar, S. A two-step genetic algorithm for mapping task graphs to a network on chip architecture. In Proc. Euromicro Symposium on Digital System Design (DSD’03). Belek – Antalya, Turkey, 2003, 180–187.

12. Marcon, C., Kreutz, M., Susin, A. and Calazans, N. Models for embedded application mapping onto NoCs: timing analysis. In Proc. 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005). Montreal, Canada, 2005, 17–23.

13. Hu, J. and Marculescu, R. Communication and task scheduling of application-specific networks-on-chip. Proc. IEEE, 2005, 152, 643–651.

14. Shin, D. and Kim, J. Power-aware communication optimization for networks-on-chips with voltage scalable links. CODES + ISSS 2004. Stockholm, Sweden, 2004, 170–175.

15. Stuijk, S., Basten, T., Geilen, M., Ghamarian, A. H. and Theelen, B. Resource-efficient routing and scheduling of time-constrained streaming communication on networks-on-chip. In Proc. 9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006). Dubrovnik, Croatia, 2006, 45–52.

16. Shi, Z. and Burns, A. Real-time communication analysis for on-chip networks with wormhole switching networks-on-chip. In Proc. Second ACM/IEEE International Symposium on Networks-on-Chip (NoCS 2008). Newcastle, UK, 2008, 161–170.

17. Shin, D. and Kim, J. Communication power optimization for network-on-chip architectures. J. Low Power Electronics, 2006, 2, 165–176.
doi:10.1166/jolpe.2006.069

18. Manolache, S. Analysis and Optimisation of Real-time Systems with Stochastic Behaviour. Ph.D thesis, Linköping University, Sweden, 2005.

19. De Micheli, G. Synthesis and Optimization of Digital Circuits. McGraw-Hill, New York, 1994.

20. Miremadi, G. and Torin, J. Evaluating processor behaviour and three error-detection mechanisms using physical fault-injection. IEEE Trans. Reliability, 1995, 44,441–454.
doi:10.1109/24.406580

21. Izosimov, V. Scheduling and Optimization of Fault-tolerant Distributed Embedded Systems. Tech. Lic. thesis, Linköping University, Sweden, 2006.

22. Koren, I. and Krishna, C. Fault-Tolerant Systems. Morgan Kaufmann, San Francisco, 2007.

23. Dumitras, T. and Marculescu, R. On-chip stochastic communication. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE 2003). Munich, Germany, 2003, 790–795.

24. Pirretti, M., Link, G. M., Brooks, R. R., Vijaykrishnan, N., Kandemir, M. and Irwin, M. J. Fault tolerant algorithms for network-on-chip interconnect. In Proc. IEEE Computer Society Annual Symposium on VLSI. Tampa, FL, USA, 2004, 46–51.

25. Valtonen, T., Nurmi, T., Isoaho, J. and Tenhunen, H. An autonomous error-tolerant cell for scalable network-on-chip architectures. In Proc. 19th IEEE Nordic Event in ASIC Design (NorChip 2001). Stockholm, Sweden, 2001, 198–203.

26. Yang Yu, Mei Yang, Yulu Yang and Yingtao Jiang. A RDT-based interconnection network for scalable network-on-chip designs. In Proc. International Conference on Coding and Computing (ITCC 2005). Las Vegas, NV, USA, 2005, vol. 2, 723–728.

27. Kariniemi, K. and Nurmi, J. Fault tolerant XGFT network on chip for multi processor system on chip circuits. In Proc. International Conference on Field Programmable Logic and Applications (FPLA 2005). Tampere, Finland, 2005, 203–210.

28. Murali, S., Atienza, D., Benini, L. and De Micheli, G. A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip. In Proc. 43rd ACM/IEEE Design Automation Conference (DAC 2006). San Francisco, CA, USA, 2006, 845–848.
Back to Issue

Back issues